フォロー
Yongpan Liu
タイトル
引用先
引用先
Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory
P Chi, S Li, C Xu, T Zhang, J Zhao, Y Liu, Y Wang, Y Xie
ACM SIGARCH Computer Architecture News 44 (3), 27-39, 2016
16622016
Accurate temperature-dependent integrated circuit leakage power estimation is easy
Y Liu, RP Dick, L Shang, H Yang
2007 Design, Automation & Test in Europe Conference & Exhibition, 1-6, 2007
3052007
Architecture exploration for ambient energy harvesting nonvolatile processors
K Ma, Y Zheng, S Li, K Swaminathan, X Li, Y Liu, J Sampson, Y Xie, ...
2015 IEEE 21st International Symposium on High Performance Computer …, 2015
2792015
A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops
Y Wang, Y Liu, S Li, D Zhang, B Zhao, MF Chiang, Y Yan, B Sai, H Yang
2012 Proceedings of the ESSCIRC (ESSCIRC), 149-152, 2012
2512012
Thermal vs energy optimization for dvfs-enabled processors in embedded systems
Y Liu, H Yang, RP Dick, H Wang, L Shang
8th International Symposium on Quality Electronic Design (ISQED'07), 204-209, 2007
1752007
Ambient energy harvesting nonvolatile processors: From circuit to system
Y Liu, Z Li, H Li, Y Wang, X Li, K Ma, S Li, MF Chang, S John, Y Xie, J Shu, ...
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
1592015
GraphH: A processing-in-memory architecture for large-scale graph processing
G Dai, T Huang, Y Chi, J Zhao, G Sun, Y Liu, Y Wang, Y Xie, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
1422018
14.3 A 65nm computing-in-memory-based CNN processor with 2.9-to-35.8 TOPS/W system energy efficiency using dynamic-sparsity performance-scaling architecture and energy …
J Yue, Z Yuan, X Feng, Y He, Z Zhang, X Si, R Liu, MF Chang, X Li, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 234-236, 2020
1232020
Dynamic contact angle hysteresis in liquid bridges
Z Shi, Y Zhang, M Liu, DAH Hanaor, Y Gan
Colloids and Surfaces A: Physicochemical and Engineering Aspects 555, 365-371, 2018
1192018
Storage-less and converter-less photovoltaic energy harvesting with maximum power point tracking for internet of things
Y Wang, Y Liu, C Wang, Z Li, X Sheng, HG Lee, N Chang, H Yang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1142015
4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination …
Y Liu, Z Wang, A Lee, F Su, CP Lo, Z Yuan, CC Lin, Q Wei, Y Wang, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 84-86, 2016
1132016
Sticker: A 0.41-62.1 TOPS/W 8Bit neural network processor with multi-sparsity compatible convolution arrays and online tuning acceleration for fully connected layers
Z Yuan, J Yue, H Yang, Z Wang, J Li, Y Yang, Q Guo, X Li, MF Chang, ...
2018 IEEE symposium on VLSI circuits, 33-34, 2018
1112018
15.2 A 2.75-to-75.9 TOPS/W computing-in-memory NN processor supporting set-associate block-wise zero skipping and ping-pong CIM with simultaneous computation and weight updating
J Yue, X Feng, Y He, Y Huang, Y Wang, Z Yuan, M Zhan, J Liu, JW Su, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 238-240, 2021
1092021
Strain effect on ferroelectric behaviors of BaTiO3 nanowires: a molecular dynamics study
Y Zhang, J Hong, B Liu, D Fang
Nanotechnology 21 (1), 015701, 2009
1092009
A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory
F Su, WH Chen, L Xia, CP Lo, T Tang, Z Wang, KH Hsu, M Cheng, JY Li, ...
2017 Symposium on VLSI Technology, T260-T261, 2017
1012017
Hi-fi playback: Tolerating position errors in shift operations of racetrack memory
C Zhang, G Sun, X Zhang, W Zhang, W Zhao, T Wang, Y Liang, Y Liu, ...
Proceedings of the 42nd Annual International Symposium on Computer …, 2015
992015
Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor
M Xie, M Zhao, C Pan, J Hu, Y Liu, CJ Xue
Proceedings of the 52nd annual design automation conference, 1-6, 2015
922015
A compression-based area-efficient recovery architecture for nonvolatile processors
Y Wang, Y Liu, Y Liu, D Zhang, S Li, B Sai, MF Chiang, H Yang
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012 …, 2012
862012
Nonvolatile processor architecture exploration for energy-harvesting applications
K Ma, X Li, S Li, Y Liu, JJ Sampson, Y Xie, V Narayanan
IEEE Micro 35 (5), 32-40, 2015
782015
Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor
C Wang, N Chang, Y Kim, S Park, Y Liu, HG Lee, R Luo, H Yang
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 379-384, 2014
732014
現在システムで処理を実行できません。しばらくしてからもう一度お試しください。
論文 1–20