Follow
Haeyeon Kim
Haeyeon Kim
Terabyte Interconnection and Package Laboratory, KAIST
Verified email at kaist.ac.kr
Title
Cited by
Cited by
Year
Transformer network-based reinforcement learning method for power distribution network (PDN) optimization of high bandwidth memory (HBM)
H Park, M Kim, S Kim, K Kim, H Kim, T Shin, K Son, B Sim, S Kim, S Jeong, ...
IEEE Transactions on Microwave Theory and Techniques 70 (11), 4772-4786, 2022
192022
Sequential policy network-based optimal passive equalizer design for an arbitrary channel of high bandwidth memory using advantage actor critic
S Choi, M Kim, H Park, K Son, S Kim, J Kim, J Park, H Kim, T Shin, K Kim, ...
2021 IEEE 30th Conference on Electrical Performance of Electronic Packaging …, 2021
62021
Deep reinforcement learning framework for optimal decoupling capacitor placement on general PDN with an arbitrary probing port
H Kim, H Park, M Kim, S Choi, J Kim, J Park, S Kim, S Kim, J Kim
2021 IEEE 30th Conference on Electrical Performance of Electronic Packaging …, 2021
62021
Rl4co: an extensive reinforcement learning for combinatorial optimization benchmark
F Berto, C Hua, J Park, M Kim, H Kim, J Son, H Kim, J Kim, J Park
arXiv preprint arXiv:2306.17100, 2023
52023
Deep reinforcement learning-based pin assignment optimization of BGA packages considering signal integrity with graph representation
J Park, M Kim, S Kim, K Son, T Shin, H Park, J Kim, S Choi, H Kim, K Kim, ...
2021 IEEE 30th Conference on Electrical Performance of Electronic Packaging …, 2021
52021
Devformer: A symmetric transformer for context-aware device placement
H Kim, M Kim, F Berto, J Kim, J Park
International Conference on Machine Learning, 16541-16566, 2023
42023
PAM-4 based PCIe 6.0 channel design optimization method using Bayesian optimization
J Kim, H Park, M Kim, S Kim, S Choi, K Son, J Park, H Kim, J Song, Y Ku, ...
2021 IEEE 30th Conference on Electrical Performance of Electronic Packaging …, 2021
42021
Deterministic policy gradient-based reinforcement learning for DDR5 memory signaling architecture optimization considering signal integrity
D Lho, H Park, K Kim, S Kim, B Sim, K Son, K Son, J Kim, S Choi, J Park, ...
2022 IEEE 31st Conference on Electrical Performance of Electronic Packaging …, 2022
32022
Signal integrity design and analysis of a spiral through-silicon via (TSV) array channel for high bandwidth memory (HBM)
S Kim, T Shin, H Park, D Lho, K Son, K Kim, J Park, S Choi, J Kim, H Kim, ...
2021 IEEE Electrical Design of Advanced Packaging and Systems (EDAPS), 1-3, 2021
32021
Imitation learning for simultaneous escape routing
M Kim, H Park, K Son, S Kim, H Kim, J Kim, J Song, Y Ku, J Park, J Kim
2021 IEEE 30th Conference on Electrical Performance of Electronic Packaging …, 2021
32021
Deep Reinforcement Learning-based Optimal and Fast Hybrid Equalizer Design Method for High Bandwidth Memory (HBM) Module
S Choi, K Son, H Park, S Kim, B Sim, J Kim, J Park, M Kim, H Kim, J Song, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology, 2023
22023
Collaborative symmetricity exploitation for offline learning of hardware design solver
H Kim, M Kim, J Kim, J Park
22022
Collaborative distillation meta learning for simulation intensive hardware design
H Kim, M Kim, J Kim, J Park
arXiv preprint arXiv:2205.13225, 2022
22022
Design and Analysis of Hierarchical Power Distribution Network (PDN) for Full Wafer Scale Chip (FWSC) Module
H Kim, H Kim, J Park, K Son, H Park, T Shin, K Kim, J Yoon, J Lee, J Hong, ...
2022 IEEE Electrical Design of Advanced Packaging and Systems (EDAPS), 1-3, 2022
12022
Imitation Learning with Bayesian Exploration (IL-BE) for Signal Integrity (SI) of PAM-4 based High-speed Serial Link: PCIe 6.0
J Kim, J Kim, H Park, J Yoon, S Choi, J Park, HY Kim, K Son, SG Kim, ...
DesignCon 2022, 2022
12022
Deep Reinforcement Learning-based Channel-flexible Equalization Scheme: An Application to High Bandwidth Memory
S Choi, J Kim, H Park, H Kim, J Park, K Son, SG Kim, K Kim, D Lho, ...
DesignCon 2022, 2022
12022
Neural Language Model Enables Extremely Fast and Robust Routing on Interposer
M Kim, J Kim, H Park, S Choi, H Kim, SG Kim, K Son, K Kim, D Lho
Designcon 2021, 2021
12021
Design and analysis of on-package inductor of an integrated voltage regulator for high-Q factor and EMI shielding in active interposer based 2.5 D/3D ICs
S Kim, S Jeong, B Sim, S Lee, H Park, H Kim, J Kim
2021 IEEE International Joint EMC/SI/PI and EMC Europe Symposium, 498-503, 2021
12021
Bayesian Exploration Imitation Learning-Based Contextual via Design Optimization Method of PAM-4-Based High-Speed Serial Link
J Kim, M Kim, H Kim, H Park, S Choi, J Park, B Sim, K Son, S Kim, J Song, ...
IEEE Transactions on Electromagnetic Compatibility, 2023
2023
Power Distribution Network Impedance Analysis considering Thermal Distribution
K Son, D Lho, K Kim, S Choi, H Kim, H Park, B Sim, H Kim, T Shin, J Kim
2022 IEEE Electrical Design of Advanced Packaging and Systems (EDAPS), 1-3, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–20