Follow
Licheng Guo
Licheng Guo
Co-founder of RapidStream | UCLA PhD ‘22
Verified email at rapidstream-da.com - Homepage
Title
Cited by
Cited by
Year
AutoSA: A polyhedral compiler for high-performance systolic arrays on FPGA
J Wang, L Guo, J Cong
The 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays …, 2021
1152021
AutoBridge: Coupling coarse-grained floorplanning and pipelining for high-frequency HLS design on multi-die FPGAs
L Guo, Y Chi, J Wang, J Lau, W Qiao, E Ustun, Z Zhang, J Cong
The 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays …, 2021
83*2021
Hardware acceleration of long read pairwise overlapping in genome sequencing: A race between fpga and gpu
L Guo, J Lau, Z Ruan, P Wei, J Cong
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom …, 2019
732019
Extending high-level synthesis for task-parallel programs
Y Chi, L Guo, J Lau, Y Choi, J Wang, J Cong
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
462021
RapidStream: Parallel Physical Implementation of FPGA HLS Designs
L Guo, P Maidee, Y Zhou, C Lavin, J Wang, Y Chi, W Qiao, A Kaviani, ...
Proceedings of the 2022 ACM/SIGDA International Symposium on Field …, 2022
382022
Analysis and Optimization of the Implicit Broadcasts in FPGA HLS to Improve Maximum Frequency.
L Guo, J Lau, Y Chi, J Wang, CH Yu, Z Chen, Z Zhang, J Cong
Proceedings of the 57th Annual Design Automation Conference (DAC) 2020, 2020
35*2020
When hls meets fpga hbm: Benchmarking and bandwidth optimization
Y Choi, Y Chi, J Wang, L Guo, J Cong
arXiv preprint arXiv:2010.06075, 2020
302020
FANS: FPGA-accelerated near-storage sorting
W Qiao, J Oh, L Guo, MCF Chang, J Cong
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom …, 2021
242021
OverGen: Improving FPGA usability through domain-specific overlay generation
S Liu, J Weng, D Kupsh, A Sohrabizadeh, Z Wang, L Guo, J Liu, M Zhulin, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 35-56, 2022
222022
Serpens: A high bandwidth memory based accelerator for general-purpose sparse matrix-vector multiplication
L Song, Y Chi, L Guo, J Cong
Proceedings of the 59th ACM/IEEE design automation conference, 211-216, 2022
22*2022
SMEM++: a pipelined and time-multiplexed SMEM seeding accelerator for genome sequencing
J Cong, L Guo, PT Huang, P Wei, T Yu
2018 28th International Conference on Field Programmable Logic and …, 2018
21*2018
Accelerating SSSP for power-law graphs
Y Chi, L Guo, J Cong
Proceedings of the 2022 ACM/SIGDA International Symposium on Field …, 2022
182022
SASA: A scalable and automatic stencil acceleration framework for optimized hybrid spatial and temporal parallelism on HBM-based FPGAs
X Tian, Z Ye, A Lu, L Guo, Y Chi, Z Fang
ACM Transactions on Reconfigurable Technology and Systems 16 (2), 1-33, 2023
10*2023
Tapa: A scalable task-parallel dataflow programming framework for modern fpgas with co-optimization of hls and physical design
L Guo, Y Chi, J Lau, L Song, X Tian, M Khatti, W Qiao, J Wang, E Ustun, ...
ACM Transactions on Reconfigurable Technology and Systems 16 (4), 1-31, 2023
9*2023
FlexCNN: An End-to-End Framework for Composing CNN Accelerators on FPGA
S Basalama, A Sohrabizadeh, J Wang, L Guo, J Cong
ACM Transactions on Reconfigurable Technology and Systems 16 (2), 1-32, 2023
92023
TopSort: A high-performance two-phase sorting accelerator optimized on HBM-based FPGAs
W Qiao, L Guo, Z Fang, MCF Chang, J Cong
IEEE Transactions on Emerging Topics in Computing, 2022
82022
RapidStream 2.0: Automated Parallel Implementation of Latency–Insensitive FPGA Designs Through Partial Reconfiguration
L Guo, P Maidee, Y Zhou, C Lavin, E Hung, W Li, J Lau, W Qiao, Y Chi, ...
ACM Transactions on Reconfigurable Technology and Systems 16 (4), 1-30, 2023
32023
PASTA: Programming and Automation Support for Scalable Task-Parallel HLS Programs on Modern Multi-Die FPGAs
M Khatti, X Tian, Y Chi, L Guo, J Cong, Z Fang
2023 IEEE 31st Annual International Symposium on Field-Programmable Custom …, 2023
22023
Callipepla: Stream centric instruction set and mixed precision for accelerating conjugate gradient solver
L Song, L Guo, S Basalama, Y Chi, RF Lucas, J Cong
Proceedings of the 2023 ACM/SIGDA International Symposium on Field …, 2023
22023
CHIP-KNNv2: A Configurable and High-Performance K-Nearest Neighbors Accelerator on HBM-based FPGAs
K Liu, A Lu, K Samtani, Z Fang, L Guo
ACM Transactions on Reconfigurable Technology and Systems 16 (4), 1-26, 2023
12023
The system can't perform the operation now. Try again later.
Articles 1–20