Follow
Gaofeng Dong
Title
Cited by
Cited by
Year
The histone methyltransferase SDG8 regulates shoot branching in Arabidopsis
G Dong, DP Ma, J Li
Biochemical and biophysical research communications 373 (4), 659-664, 2008
1222008
Ubiquilin-1 protects cells from oxidative stress and ischemic stroke caused tissue injury in mice
Y Liu, L Lü, CL Hettinger, G Dong, D Zhang, K Rezvani, X Wang, H Wang
Journal of Neuroscience 34 (8), 2813-2821, 2014
792014
Plant regeneration from leaf-derived callus in Citrus grandis(pummelo): Effects of auxins in callus induction medium
H Tao, P Shaolin, D Gaofeng, Z Lanying, L Gengguang
Plant Cell, Tissue and Organ Culture 69, 141-146, 2002
792002
MecA protein acts as a negative regulator of genetic competence in Streptococcus mutans
XL Tian, G Dong, T Liu, ZA Gomez, A Wahl, P Hols, YH Li
Journal of bacteriology 195 (22), 5196-5206, 2013
462013
The root indeterminacy‐to‐determinacy developmental switch is operated through a folate‐dependent pathway in Arabidopsis thaliana
BJ Reyes‐Hernández, AC Srivastava, Y Ugartechea‐Chirino, ...
New Phytologist 202 (4), 1223-1236, 2014
382014
植物叶黄素循环与非辐射能量耗散
董高峰, 陈贻竹, 蒋跃明
植物生理学通讯 35 (2), 141-144, 1999
351999
BK channel agonist represents a potential therapeutic approach for lysosomal storage diseases
XZ Zhong, X Sun, Q Cao, G Dong, R Schiffmann, XP Dong
Scientific reports 6 (1), 33684, 2016
342016
Prothymosin-α interacts with mutant huntingtin and suppresses its cytotoxicity in cell culture
G Dong, EA Callegari, CJ Gloeckner, M Ueffing, H Wang
Journal of Biological Chemistry 287 (2), 1279-1289, 2012
322012
Mass spectrometric identification of novel posttranslational modification sites in H untingtin
G Dong, E Callegari, CJ Gloeckner, M Ueffing, H Wang
Proteomics 12 (12), 2060-2064, 2012
312012
Calretinin interacts with huntingtin and reduces mutant huntingtin‐caused cytotoxicity
G Dong, K Gross, F Qiao, J Ferguson, EA Callegari, K Rezvani, D Zhang, ...
Journal of neurochemistry 123 (3), 437-446, 2012
292012
Regulated proteolysis of the alternative sigma factor SigX in Streptococcus mutans: implication in the escape from competence
G Dong, XL Tian, ZA Gomez, YH Li
BMC microbiology 14, 1-16, 2014
282014
Modeling pathogenesis of Huntington’s disease with inducible neuroprogenitor cells
G Dong, JM Ferguson, AJ Duling, RG Nicholas, D Zhang, K Rezvani, ...
Cellular and molecular neurobiology 31, 737-747, 2011
262011
Inhibition of transient receptor potential channel mucolipin-1 (TRPML1) by lysosomal adenosine involved in severe combined immunodeficiency diseases
XZ Zhong, Y Zou, X Sun, G Dong, Q Cao, A Pandey, JK Rainey, X Zhu, ...
Journal of Biological Chemistry 292 (8), 3445-3455, 2017
242017
A simple and effective method for detecting phosphopeptides for phosphoproteomic analysis
Z Wang, G Dong, S Singh, H Steen, J Li
Journal of proteomics 72 (5), 831-835, 2009
142009
沙田柚不同外植体离体培养与植株再生的研究 Ξ
董高峰, 黄涛, 李耿光, 张兰英
武汉植物学研究 19 (5), 440-444, 2001
132001
Identification and characterization of SMU. 244 encoding a putative undecaprenyl pyrophosphate phosphatase protein required for cell wall biosynthesis and bacitracin resistance …
N Jalal, XL Tian, G Dong, J Upham, C Chen, M Parcells, YH Li
Microbiology 161 (9), 1857-1870, 2015
122015
Apical meristem exhaustion during determinate primary root growth in the moots koom 1 mutant of Arabidopsis thaliana
A Hernández-Barrera, Y Ugartechea-Chirino, S Shishkova, ...
Planta 234, 1163-1177, 2011
122011
The BceABRS four-component system that is essential for cell envelope stress response is involved in sensing and response to host defence peptides and is required for the …
XL Tian, H Salim, G Dong, M Parcells, YH Li
Journal of Medical Microbiology 67 (6), 874-883, 2018
112018
Membrane Topology and Structural Insights into the Peptide Pheromone Receptor ComD, A Quorum-Sensing Histidine Protein Kinase of Streptococcus mutans
G Dong, XL Tian, K Cyr, T Liu, W Lin, G Tziolas, YH Li
Scientific Reports 6 (1), 26502, 2016
112016
提高沙田柚茎尖嫁接成活率的研究
董高峰, 李耿光, 张兰英, 黄涛
广西植物 21 (3), 273-276, 2001
82001
The system can't perform the operation now. Try again later.
Articles 1–20