Follow
Subin Kim
Title
Cited by
Cited by
Year
Deep reinforcement learning-based optimal decoupling capacitor design method for silicon interposer-based 2.5-D/3-D ICs
H Park, J Park, S Kim, K Cho, D Lho, S Jeong, S Park, G Park, B Sim, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 10 …, 2020
582020
Signal integrity design and analysis of silicon interposer for GPU-memory channels in high-bandwidth memory interface
K Cho, Y Kim, H Lee, H Kim, S Choi, J Song, S Kim, J Park, S Lee, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 8 (9 …, 2018
502018
Signal integrity design and analysis of differential high-speed serial links in silicon interposer with through-silicon via
K Cho, Y Kim, H Lee, J Song, J Park, S Lee, S Kim, G Park, K Son, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 9 (1 …, 2018
302018
Reinforcement learning-based optimal on-board decoupling capacitor design method
H Park, J Park, S Kim, D Lho, S Park, G Park, K Cho, J Kim
2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging …, 2018
252018
Design and analysis of power distribution network (PDN) for high bandwidth memory (HBM) interposer in 2.5 D terabyte/s bandwidth graphics module
K Cho, Y Kim, H Lee, H Kim, S Choi, S Kim, J Kim
2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 407-412, 2016
252016
Fast and accurate power distribution network modeling of a silicon interposer for 2.5-D/3-D ICs with multiarray TSVs
K Cho, Y Kim, S Kim, H Park, J Park, S Lee, D Shim, K Lee, S Oh, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 9 (9 …, 2019
232019
Highly-effective integrated EMI shields with graphene and nanomagnetic multilayered composites
AO Watanabe, S Jeong, S Kim, Y Kim, J Min, D Wong, MR Pulugurtha, ...
2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 206-210, 2016
222016
Measurement and analysis of glass interposer power distribution network resonance effects on a high-speed through glass via channel
Y Kim, J Cho, JJ Kim, K Kim, K Cho, S Kim, S Sitaraman, V Sundaram, ...
IEEE Transactions on Electromagnetic Compatibility 58 (6), 1747-1759, 2016
202016
Transformer network-based reinforcement learning method for power distribution network (PDN) optimization of high bandwidth memory (HBM)
H Park, M Kim, S Kim, K Kim, H Kim, T Shin, K Son, B Sim, S Kim, S Jeong, ...
IEEE Transactions on Microwave Theory and Techniques 70 (11), 4772-4786, 2022
192022
Design and measurement of a novel on-interposer active power distribution network for efficient simultaneous switching noise suppression in 2.5-D/3-D IC
S Kim, Y Kim, K Cho, J Song, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 9 (2 …, 2018
182018
Power distribution network (PDN) design and analysis of a single and double-sided high bandwidth memory (HBM) interposer for 2.5 D terabtye/s bandwidth system
K Cho, Y Kim, S Kim, H Lee, S Choi, H Kim, J Kim
2016 IEEE International Symposium on Electromagnetic Compatibility (EMC), 96-99, 2016
162016
Signal integrity and computing performance analysis of a processing-in-memory of high bandwidth memory (PIM-HBM) scheme
S Kim, S Kim, K Cho, T Shin, H Park, D Lho, S Park, K Son, G Park, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 11 …, 2021
142021
Processing-in-memory in high bandwidth memory (PIM-HBM) architecture with energy-efficient and low latency channels for high bandwidth system
S Kim, S Kim, K Cho, T Shin, H Park, D Lho, S Park, K Son, G Park, J Kim
2019 IEEE 28th Conference on Electrical Performance of Electronic Packaging …, 2019
142019
Measurement, simulation and mathematical estimation of magnetic field shielding effectiveness of sputtered shielding materials using spiral coils
K Song, S Kim, S Jeong, DH Kim, K Son, J Heo, K Han, Y Jung, H Kim, ...
2018 IEEE Symposium on Electromagnetic Compatibility, Signal Integrity and …, 2018
142018
Signal integrity design and analysis of 3-D X-point memory considering crosstalk and IR drop for higher performance computing
K Son, K Cho, S Kim, S Park, DH Jung, J Park, G Park, S Kim, T Shin, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 10 …, 2020
132020
Design, simulation and measurement of flexible PCB coils for wearable device wireless power transfer
S Jeong, J Song, S Lee, S Hong, B Sim, H Kim, S Kim, J Kim
2018 IEEE Wireless Power Transfer Conference (WPTC), 1-4, 2018
132018
Glass interposer electromagnetic bandgap structure for efficient suppression of power/ground noise coupling
Y Kim, J Cho, JJ Kim, K Cho, S Kim, S Sitaraman, V Sundaram, PM Raj, ...
IEEE Transactions on Electromagnetic Compatibility 59 (3), 940-951, 2016
132016
Channel characteristic-based deep neural network models for accurate eye diagram estimation in high bandwidth memory (HBM) silicon interposer
D Lho, H Park, S Park, S Kim, H Kang, B Sim, S Kim, J Park, K Cho, ...
IEEE Transactions on Electromagnetic Compatibility 64 (1), 196-208, 2021
122021
Bayesian optimization of high-speed channel for signal integrity analysis
D Lho, J Park, H Park, S Park, S Kim, H Kang, S Kim, G Park, K Son, J Kim
2019 IEEE 28th Conference on Electrical Performance of Electronic Packaging …, 2019
122019
Modeling and signal integrity analysis of 3D XPoint memory cells and interconnections with memory size variations during read operation
K Son, K Cho, S Kim, G Park, K Song, J Kim
2018 IEEE Symposium on Electromagnetic Compatibility, Signal Integrity and …, 2018
122018
The system can't perform the operation now. Try again later.
Articles 1–20