フォロー
Kanak Agarwal
Kanak Agarwal
確認したメール アドレス: arm.com - ホームページ
タイトル
引用先
引用先
Presto: Edge-based load balancing for fast datacenter networks
K He, E Rozner, K Agarwal, W Felter, J Carter, A Akella
ACM SIGCOMM Computer Communication Review 45 (4), 465-478, 2015
3222015
Planck: Millisecond-scale monitoring and control for commodity networks
J Rasley, B Stephens, C Dixon, E Rozner, W Felter, K Agarwal, J Carter, ...
ACM SIGCOMM Computer Communication Review 44 (4), 407-418, 2014
2522014
Power gating with multiple sleep modes
K Agarwal, H Deogun, D Sylvester, K Nowka
7th International Symposium on Quality Electronic Design (ISQED'06), 5 pp.-637, 2006
2112006
Adaptive scheduling of data flows in data center networks for efficient resource utilization
KB Agarwal, JB Carter, CK Dixon
US Patent 9,634,938, 2017
2092017
A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65nm CMOS
L Chang, Y Nakamura, RK Montoye, J Sawada, AK Martin, K Kinoshita, ...
2007 IEEE Symposium on VLSI Circuits, 252-253, 2007
2052007
Modeling and analysis of crosstalk noise in coupled RLC interconnects
K Agarwal, D Sylvester, D Blaauw
IEEE transactions on computer-aided design of integrated circuits and …, 2006
2042006
Statistical analysis of SRAM cell stability
K Agarwal, S Nassif
Proceedings of the 43rd annual design automation conference, 57-62, 2006
2012006
Characterizing process variation in nanometer CMOS
K Agarwal, S Nassif
Proceedings of the 44th annual Design Automation Conference, 396-399, 2007
1912007
Enhanced leakage reduction techniques using intermediate strength power gating
H Singh, K Agarwal, D Sylvester, KJ Nowka
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 15 (11 …, 2007
1842007
The impact of random device variation on SRAM cell stability in sub-90-nm CMOS technologies
K Agarwal, S Nassif
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 (1), 86-97, 2007
1602007
AC/DC TCP: Virtual congestion control enforcement for datacenter networks
K He, E Rozner, K Agarwal, Y Gu, W Felter, J Carter, A Akella
Proceedings of the 2016 ACM SIGCOMM Conference, 244-257, 2016
1582016
Rigorous extraction of process variations for 65-nm CMOS design
W Zhao, F Liu, K Agarwal, D Acharyya, SR Nassif, KJ Nowka, Y Cao
IEEE Transactions on Semiconductor Manufacturing 22 (1), 196-203, 2009
1402009
A test structure for characterizing local device mismatches
K Agarwal, F Liu, C McDowell, S Nassif, K Nowka, M Palmer, D Acharyya, ...
2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., 67-68, 2006
1402006
SDN traceroute: Tracing SDN forwarding without changing network behavior
K Agarwal, E Rozner, C Dixon, J Carter
Proceedings of the third workshop on Hot topics in software defined …, 2014
1292014
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance
A Srivastava, S Shah, K Agarwal, D Sylvester, D Blaauw, S Director
Proceedings of the 42nd annual Design Automation Conference, 535-540, 2005
1292005
Electrically-driven optical proximity correction to compensate for non-optical effects
KB Agarwal, S Banerjee, P Elakkumanan, LW Liebmann
US Patent 8,103,983, 2012
1262012
Variational delay metrics for interconnect timing analysis
K Agarwal, D Sylvester, D Blaauw, F Liu, S Nassif, S Vrudhula
Proceedings of the 41st annual Design Automation Conference, 381-384, 2004
1152004
Shadow macs: Scalable label-switching for commodity ethernet
K Agarwal, C Dixon, E Rozner, J Carter
Proceedings of the third workshop on Hot topics in software defined …, 2014
892014
Variability in nanometer CMOS: Impact, analysis, and minimization
D Sylvester, K Agarwal, S Shah
Integration 41 (3), 319-339, 2008
872008
Port mirroring for sampling measurement of network flows
KB Agarwal, JB Carter, CK Dixon, JT Rasley
US Patent 9,203,711, 2015
862015
現在システムで処理を実行できません。しばらくしてからもう一度お試しください。
論文 1–20