フォロー
木野久志(Hisashi KINO)
木野久志(Hisashi KINO)
東北大学 大学院医工学研究科 (Tohoku University)
確認したメール アドレス: tohoku.ac.jp
タイトル
引用先
引用先
Wafer thinning, bonding, and interconnects induced local strain/stress in 3D-LSIs with fine-pitch high-density microbumps and through-Si vias
M Murugesan, H Kino, H Nohira, JC Bea, A Horibe, F Yamada, ...
2010 International Electron Devices Meeting, 2.3. 1-2.3. 4, 2010
1162010
New heterogeneous multi-chip module integration technology using self-assembly method
T Fukushima, T Konno, K Kiyoyama, M Murugesan, K Sato, WC Jeong, ...
2008 IEEE International Electron Devices Meeting, 1-4, 2008
582008
Reconfigured-wafer-to-wafer 3-D integration using parallel self-assembly of chips with Cu–SnAg microbumps and a nonconductive film
T Fukushima, J Bea, H Kino, C Nagai, M Murugesan, H Hashiguchi, ...
IEEE Transactions on Electron Devices 61 (2), 533-539, 2014
532014
High density 3D LSI technology using W/Cu hybrid TSVs
M Murugesan, H Kino, A Hashiguchi, C Miyazaki, H Shimamoto, ...
2011 International Electron Devices Meeting, 6.6. 1-6.6. 4, 2011
442011
Impact of remnant stress/strain and metal contamination in 3D-LSIs with through-Si vias fabricated by wafer thinning and bonding
M Murugesan, JC Bea, H Kino, Y Ohara, T Kojima, A Noriki, KW Lee, ...
2009 IEEE International Electron Devices Meeting (IEDM), 1-4, 2009
442009
MOSFET Nonvolatile Memory with High-Density Cobalt-Nanodots Floating Gate andHigh-k Blocking Dielectric
Y Pei, C Yin, T Kojima, JC Bea, H Kino, T Fukushima, T Tanaka, ...
IEEE transactions on nanotechnology 10 (3), 528-531, 2010
352010
Oxide-oxide thermocompression direct bonding technologies with capillary self-assembly for multichip-to-wafer heterogeneous 3D system integration
T Fukushima, H Hashiguchi, H Yonekura, H Kino, M Murugesan, JC Bea, ...
Micromachines 7 (10), 184, 2016
192016
Development of highly-reliable microbump bonding technology using self-assembly of NCF-covered KGDs and multi-layer 3D stacking challenges
Y Ito, M Murugesan, H Kino, T Fukushima, KW Lee, K Choki, T Tanaka, ...
2015 IEEE 65th Electronic Components and Technology Conference (ECTC), 336-341, 2015
192015
Cu lateral interconnects formed between 100-µm-thick self-assembled chips on flexible substrates
M Murugesan, JC Bea, T Fukushima, T Konno, K Kiyoyama, WC Jeong, ...
2009 59th Electronic Components and Technology Conference, 1496-1501, 2009
192009
Multiple optical stimulation to neuron using Si opto-neural probe with multiple optical waveguides and metal-cover for optogenetics
S Kanno, S Lee, T Harashima, T Kuki, H Kino, H Mushiake, H Yao, ...
2013 35th Annual International Conference of the IEEE Engineering in …, 2013
152013
Ultrafast parallel reconfiguration of 3D-stacked reconfigurable spin logic chip with on-chip SPRAM (SPin-transfer torque RAM)
T Tanaka, H Kino, R Nakazawa, K Kiyoyama, H Ohno, M Koyanagi
2012 Symposium on VLSI Technology (VLSIT), 169-170, 2012
152012
Self-assembly and electrostatic carrier technology for via-last TSV formation using transfer stacking-based chip-to-wafer 3-D integration
H Hashiguchi, T Fukushima, H Hashimoto, JC Bea, M Murugesan, H Kino, ...
IEEE Transactions on Electron Devices 64 (12), 5065-5072, 2017
142017
Investigation of local bending stress effect on complementary metal–oxide–semiconductor characteristics in thinned Si chip for chip-to-wafer three-dimensional integration
H Kino, JC Bea, M Murugesan, KW Lee, T Fukushima, M Koyanagi, ...
Japanese Journal of Applied Physics 52 (4S), 04CB11, 2013
142013
Impact of chip-edge structures on alignment accuracies of self-assembled dies for microelectronic system integration
Y Ito, T Fukushima, H Kino, KW Lee, T Tanaka, M Koyanagi
Journal of Microelectromechanical Systems 25 (1), 91-100, 2015
132015
Development of eccentric spin coating of polymer liner for low-temperature TSV technology with ultra-fine diameter
M Xiong, Z Chen, Y Ding, H Kino, T Fukushima, T Tanaka
IEEE Electron Device Letters 40 (1), 95-98, 2018
122018
Remarkable suppression of local stress in 3D IC by manganese nitride-based filler with large negative CTE
H Kino, T Fukushima, T Tanaka
2017 IEEE 67th Electronic Components and Technology Conference (ECTC), 1523-1528, 2017
122017
Temporary spin-on glass bonding technologies for via-last/backside-via 3D integration using multichip self-assembly
H Hashiguchi, T Fukushima, A Noriki, H Kino, KW Lee, T Tanaka, ...
2014 IEEE 64th Electronic Components and Technology Conference (ECTC), 856-861, 2014
112014
Effect of local stress induced by thermal expansion of underfill in three-dimensional stacked IC
H Kino, H Hashiguchi, S Tanikawa, Y Sugawara, S Ikegaya, T Fukushima, ...
Japanese Journal of Applied Physics 55 (4S), 04EC03, 2016
102016
Plasma assisted multichip-to-wafer direct bonding technology for self-assembly based 3D integration
H Hashiguchi, H Yonekura, T Fukushima, M Murugesan, H Kino, KW Lee, ...
2015 IEEE 65th Electronic Components and Technology Conference (ECTC), 1458-1463, 2015
92015
Vertical-cavity surface-emitting laser chip bonding by surface-tension-driven self-assembly for optoelectronic heterogeneous integration
Y Ito, T Fukushima, H Kino, KW Lee, K Choki, T Tanaka, M Koyanagi
Japanese Journal of Applied Physics 54 (3), 030206, 2015
92015
現在システムで処理を実行できません。しばらくしてからもう一度お試しください。
論文 1–20