フォロー
Takafumi Fukushima
Takafumi Fukushima
Associate Procfessor, Tohoku University
確認したメール アドレス: lbc.mech.tohoku.ac.jp
タイトル
引用先
引用先
High-density through silicon vias for 3-D LSIs
M Koyanagi, T Fukushima, T Tanaka
Proceedings of the IEEE 97 (1), 49-59, 2009
3702009
Three-dimensional integration technology based on wafer bonding with vertical buried interconnections
M Koyanagi, T Nakamura, Y Yamada, H Kikuchi, T Fukushima, T Tanaka, ...
IEEE Transactions on Electron Devices 53 (11), 2799-2808, 2006
2832006
Three-dimensional integration technology and integrated systems
M Koyanagi, T Fukushima, T Tanaka
2009 Asia and South Pacific Design Automation Conference, 409-415, 2009
2572009
New three-dimensional integration technology using self-assembly technique
T Fukushima, Y Yamada, H Kikuchi, M Koyanagi
IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest …, 2005
1632005
Three-dimensional hybrid integration technology of CMOS, MEMS, and photonics circuits for optoelectronic heterogeneous integrated systems
KW Lee, A Noriki, K Kiyoyama, T Fukushima, T Tanaka, M Koyanagi
IEEE Transactions on Electron Devices 58 (3), 748-757, 2010
1272010
New three-dimensional integration technology based on reconfigured wafer-on-wafer bonding technique
T Fukushima, H Kikuchi, Y Yamada, T Konno, J Liang, K Sasaki, ...
2007 IEEE International Electron Devices Meeting, 985-988, 2007
1212007
Wafer thinning, bonding, and interconnects induced local strain/stress in 3D-LSIs with fine-pitch high-density microbumps and through-Si vias
M Murugesan, H Kino, H Nohira, JC Bea, A Horibe, F Yamada, ...
2010 International Electron Devices Meeting, 2.3. 1-2.3. 4, 2010
1172010
Heterogeneous integration at fine pitch (≤ 10 µm) using thermal compression bonding
AA Bajwa, SC Jangam, S Pal, N Marathe, T Bai, T Fukushima, M Goorsky, ...
2017 IEEE 67th electronic components and technology conference (ECTC), 1276-1284, 2017
1002017
Surface tension-driven chip self-assembly with load-free hydrogen fluoride-assisted direct bonding at room temperature for three-dimensional integrated circuits
T Fukushima, E Iwata, T Konno, JC Bea, KW Lee, T Tanaka, M Koyanagi
Applied Physics Letters 96 (15), 2010
992010
Tungsten through-silicon via technology for three-dimensional LSIs
H Kikuchi, Y Yamada, AM Ali, J Liang, T Fukushima, T Tanaka, ...
Japanese Journal of Applied Physics 47 (4S), 2801, 2008
862008
New three-dimensional integration technology using chip-to-wafer bonding to achieve ultimate super-chip integration
T Fukushima, Y Yamada, H Kikuchi, M Koyanagi
Japanese Journal of Applied Physics 45 (4S), 3030, 2006
822006
Photosensitive fluorinated polyimides with a low dielectric constant based on reaction development patterning
T Miyagawa, T Fukushima, T Oyama, T Iijima, M Tomoi
Journal of Polymer Science Part A: Polymer Chemistry 41 (6), 861-871, 2003
702003
Three-dimensional integration technology based on reconfigured wafer-to-wafer and multichip-to-wafer stacking using self-assembly method
T Fukushima, E Iwata, Y Ohara, A Noriki, K Inamura, KW Lee, J Bea, ...
2009 IEEE International Electron Devices Meeting (IEDM), 1-4, 2009
672009
New concept of positive photosensitive polyimide: reaction development patterning (RDP)
T Fukushima, T Oyama, T Iijima, M Tomoi, H Itatani
Journal of Polymer Science Part A: Polymer Chemistry 39 (19), 3451-3463, 2001
672001
Multichip self-assembly technology for advanced die-to-wafer 3-D integration to precisely align known good dies in batch processing
T Fukushima, E Iwata, Y Ohara, M Murugesan, J Bea, K Lee, T Tanaka, ...
IEEE transactions on components, packaging and manufacturing technology 1 …, 2011
622011
New heterogeneous multi-chip module integration technology using self-assembly method
T Fukushima, T Konno, K Kiyoyama, M Murugesan, K Sato, WC Jeong, ...
2008 IEEE International Electron Devices Meeting, 1-4, 2008
592008
Multichip-to-wafer three-dimensional integration technology using chip self-assembly with excimer lamp irradiation
T Fukushima, E Iwata, Y Ohara, M Murugesan, J Bea, K Lee, T Tanaka, ...
IEEE transactions on electron devices 59 (11), 2956-2963, 2012
552012
Evaluation of Cu diffusion from Cu through-silicon via (TSV) in three-dimensional LSI by transient capacitance measurement
J Bea, K Lee, T Fukushima, T Tanaka, M Koyanagi
IEEE Electron Device Letters 32 (7), 940-942, 2011
552011
10 µm fine pitch Cu/Sn micro-bumps for 3-D super-chip stack
Y Ohara, A Noriki, K Sakuma, KW Lee, M Murugesan, J Bea, F Yamada, ...
2009 IEEE International Conference on 3D System Integration, 1-6, 2009
542009
Reconfigured-wafer-to-wafer 3-D integration using parallel self-assembly of chips with Cu–SnAg microbumps and a nonconductive film
T Fukushima, J Bea, H Kino, C Nagai, M Murugesan, H Hashiguchi, ...
IEEE Transactions on Electron Devices 61 (2), 533-539, 2014
532014
現在システムで処理を実行できません。しばらくしてからもう一度お試しください。
論文 1–20